Performance of Hybrid Connected Network on Chip Router to Improve Latency and Throughput

Main Article Content

K. Sravan Kumar
Suripaka Ramadevi
Mulumudi Anitha
Somala Pallavi Priya
Yelchuru Venkata Lakshmi Hemalatha

Abstract

The design of Network-on-Chip (NoC) routers plays a critical role in ensuring efficient data transmission. This project presents an innovative approach to designing NoC routers that prioritize area efficiency. It introduces a hybrid scheme tailored for NoCs, aiming to significantly reduce latency and power consumption. Existing NoC architectures typically employ either circuit switching or packet switching techniques, each with its own limitations. Circuit switching can lead to high latency due to setup time, while packet switching suffer from increased power consumption and congestion. To address these drawbacks, our proposed hybrid scheme combines virtual circuit switching with existing circuit and packet switching methods. By allowing multiple virtual circuit-switched (VCS) connections to share a common physical channel, our approach optimizes resource utilization and minimizes latency, Throughput. Furthermore, the integration of virtual circuit switching introduces dynamic routing flexibility, enhancing adaptability to varying traffic conditions. Hence, this work shows the superior performance and efficiency of our hybrid scheme compared to traditional NoC architectures

Downloads

Download data is not yet available.

Metrics

Metrics Loading ...

Article Details

How to Cite
Kumar, K. S. ., Ramadevi, S. ., Anitha, M. ., Priya, S. P. ., & Hemalatha, Y. V. L. . (2024). Performance of Hybrid Connected Network on Chip Router to Improve Latency and Throughput. Turkish Journal of Computer and Mathematics Education (TURCOMAT), 15(1), 235–241. https://doi.org/10.61841/turcomat.v15i1.14620
Section
Research Articles

References

. Lee, Youngkwang, Donghyun Han, and Sungho Kang. "TSV Built-In Self-Repair Architecture for

Improving the Yield and Reliability of HBM." IEEE Transactions on Very Large-Scale Integration (VLSI)

Systems 31, no. 4 (2023): 578-590.

. Adiseshaiah, Midde, and Maruvada Sailaja. "A parallel decision-making design for highly speedy packet

classification." Microprocessors and Microsystems 99 (2023): 104826.

. Chhabria, Vidya A., Chetan Choppali Sudarshan, Sarma Vrudhula, and Sachin S. Sapatnekar. "Towards

Sustainable Computing: Assessing the Carbon Footprint of Heterogeneous Systems." arXiv preprint

arXiv:2306.09434 (2023).

. Shahsavari, Mahyar, David Thomas, Marcel van Gerven, Andrew Brown, and Wayne Luk. "Advancements

in spiking neural network communication and synchronization techniques for event-driven neuromorphic

systems." Array 20 (2023): 100323.

. Gonzalez, Yilian Ribot, Geoffrey Nelissen, and Eduardo Tovar. "Traffic Injection Regulation Protocol based

on free time-slots requests." In 2023 IEEE 29th International Conference on Embedded and Real-Time

Computing Systems and Applications (RTCSA), pp. 157-166. IEEE, 2023.

. Milton, Jonathan, and Payman Zarkesh-Ha. "Impacts of Topology and Bandwidth on Distributed Shared

Memory Systems." Computers 12, no. 4 (2023): 86.

. Boroujerdian, Behzad, Ying Jing, Devashree Tripathy, Amit Kumar, Lavanya Subramanian, Luke Yen,

Vincent Lee et al. "FARSI: An early-stage design space exploration framework to tame the domain-specific

system-on-chip complexity." ACM Transactions on Embedded Computing Systems 22, no. 2 (2023): 1-35.

. Taheri, Ebadollah, Ryan G. Kim, and Mahdi Nikdast. "AdEle+: An Adaptive Congestion-and-EnergyAware Elevator Selection for Partially Connected 3D NoCs." IEEE Transactions on Computers (2023).

. Krestinskaya, Olga, Li Zhang, and Khaled Nabil Salama. "Towards Efficient In-memory Computing

Hardware for Quantized Neural Networks: State-of-the-art, Open Challenges and Perspectives." IEEE

Transactions on Nanotechnology (2023).

. Li, Jiaming, Bin Gao, Ruihua Yu, Peng Yao, Jianshi Tang, He Qian, and Huaqiang Wu. "A Spatial-Designed

Computing-In-Memory Architecture Based on Monolithic 3D Integration for High-Performance Systems."

In Proceedings of the 18th ACM International Symposium on Nanoscale Architectures, pp. 1-6. 2023.

. Ribot González, Yilian, Geoffrey Nelissen, and Eduardo Tovar. "IPDeN 2.0: Real-time NoC with selective

flit deflection and buffering." In Proceedings of the 31st International Conference on Real-Time Networks

and Systems, pp. 87-98. 2023.

. Fan, Renhao, Yikai Cui, Qilin Chen, Mingyu Wang, Youhui Zhang, Weimin Zheng, and Zhaolin Li.

"MAICC: A Lightweight Many-core Architecture with In-Cache Computing for Multi-DNN Parallel

Inference." In Proceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture,

pp. 411-423. 2023.

. Benabdenbi, Mounir. "Contributions to the Test, Fault Tolerance and Approximate Computing of System

on a Chip." PhD diss., Université Grenoble Alpes, 2023.

. Li, Chuanyou, Kun Zhang, Yifan Li, Jiangwei Shang, Xinyue Zhang, and Lei Qian. "ANNA: Accelerating

Neural Network Accelerator through software-hardware co-design for vertical applications in edge

systems." Future Generation Computer Systems 140 (2023): 91-103.

. Andújar, Francisco J., Salvador Coll, Marina Alonso, Juan-Miguel Martínez, Pedro López, José L. Sánchez,

and Francisco J. Alfaro. "Energy efficient HPC network topologies with on/off links." Future Generation

Computer Systems 139 (2023): 126-138.